GitHub 中文社区
回车: Github搜索    Shift+回车: Google搜索
论坛
排行榜
趋势
登录

©2025 GitHub中文社区论坛GitHub官网网站地图GitHub官方翻译

  • X iconGitHub on X
  • Facebook iconGitHub on Facebook
  • Linkedin iconGitHub on LinkedIn
  • YouTube iconGitHub on YouTube
  • Twitch iconGitHub on Twitch
  • TikTok iconGitHub on TikTok
  • GitHub markGitHub’s organization on GitHub
集合主题趋势排行榜
#

xilinx

Website
Wikipedia
https://static.github-zh.com/github_avatars/LeiWang1999?size=40
LeiWang1999 / FPGA

帮助大家进行FPGA的入门,分享FPGA相关的优秀文章,优秀项目

fpgaxilinxVerilogpynq
4.73 k
3 年前
open-sdr/openwifi
https://static.github-zh.com/github_avatars/open-sdr?size=40
open-sdr / openwifi

open-source IEEE 802.11 WiFi baseband FPGA (chip) design: driver, software

fpgaofdm802-11zynqLinuxxilinxanalog-devicesmac80211csmadmaVeriloghlsopenwifiad9361sdrsoftware-defined-radioieee80211wifixilinx-fpgahardware
C 4.2 k
4 天前
https://static.github-zh.com/github_avatars/pConst?size=40
pConst / basic_verilog

Must-have verilog systemverilog modules

fpgadelaydebounceencoderxilinxVerilogtclsynchronizerpwmuarthls
Verilog 1.8 k
2 个月前
https://static.github-zh.com/github_avatars/trabucayre?size=40
trabucayre / openFPGALoader

Universal utility for programming FPGA

fpgabitstreamintelxilinx
C++ 1.35 k
2 天前
https://static.github-zh.com/github_avatars/Xilinx?size=40
Xilinx / brevitas

#计算机科学#Brevitas: neural network quantization in PyTorch

quantizationPyTorchfpganeural-networkshardware-accelerationxilinx深度学习
Python 1.33 k
4 天前
https://static.github-zh.com/github_avatars/hdl-util?size=40
hdl-util / hdmi

Send video/audio over HDMI on an FPGA

hdmifpgaaudioVideosystemverilogxilinxintel
SystemVerilog 1.17 k
1 年前
https://static.github-zh.com/github_avatars/ultraembedded?size=40
ultraembedded / biriscv

32-bit Superscalar RISC-V CPU

RISC-Vrv32irv32imcpufpgaVerilogverilatorasicbranch-predictionLinuxxilinxartix-7
Verilog 1.04 k
4 年前
https://static.github-zh.com/github_avatars/eugene-tarassov?size=40
eugene-tarassov / vivado-risc-v

Xilinx Vivado block designs for FPGA RISC-V SoC running Debian Linux distro

RISC-VLinuxxilinxfpgaboom
Tcl 964
3 天前
https://static.github-zh.com/github_avatars/f4pga?size=40
f4pga / prjxray

Documenting the Xilinx 7-series bit-stream format.

fpgaxilinxxilinx-fpgaartixbitstream工具toolchainfuzzer
Python 804
10 天前
https://static.github-zh.com/github_avatars/Cr4sh?size=40
Cr4sh / s6_pcie_microblaze

PCI Express DIY hacking toolkit for Xilinx SP605. This repository is also home of Hyper-V Backdoor and Boot Backdoor, check readme for links and info

pci-efpgadmaUEFIhypervisorrootkitxilinxmicroblazehyper-vbackdoorKernel
C 801
1 年前
https://static.github-zh.com/github_avatars/open-sdr?size=40
open-sdr / openwifi-hw

open-source IEEE 802.11 WiFi baseband FPGA (chip) design: FPGA, hardware

fpgaofdmwi-fizynqLinuxmac80211csmadmaVeriloghlsxilinxanalog-devicesad9361sdrsoftware-defined-radioieee80211hardwarevhdlrtl
Verilog 763
1 个月前
https://static.github-zh.com/github_avatars/olofk?size=40
olofk / edalize

An abstraction library for interfacing EDA tools

edafpgaxilinxyosyssynthesisSimulationVerilogvhdlsystemverilogverilator
Python 695
4 天前
https://static.github-zh.com/github_avatars/WangXuan95?size=40
WangXuan95 / Xilinx-FPGA-PCIe-XDMA-Tutorial

Xilinx FPGA PCIe 保姆级教程 ——基于 PCIe XDMA IP核

fpgapciexilinx
Batchfile 619
2 年前
https://static.github-zh.com/github_avatars/trivialmips?size=40
trivialmips / nontrivial-mips

NonTrivial-MIPS is a synthesizable superscalar MIPS processor with branch prediction and FPU support, and it is capable of booting linux.

systemverilogmipsfpga-soccpufpgaxilinx
SystemVerilog 602
5 年前
https://static.github-zh.com/github_avatars/VLSI-EDA?size=40
VLSI-EDA / PoC

IP Core Library - Published and maintained by the Chair for VLSI Design, Diagnostics and Architecture, Faculty of Computer Science, Technische Universität Dresden, Germany

vhdlpoc-libraryPythonSimulationsynthesisverificationvlsifpgahardware-designsasicxilinxregression-testing
VHDL 580
5 年前
https://static.github-zh.com/github_avatars/ZipCPU?size=40
ZipCPU / wb2axip

Bus bridges and other odds and ends

fpgaxilinxGNU General Public License
Verilog 567
2 个月前
https://static.github-zh.com/github_avatars/Xilinx?size=40
Xilinx / Vitis_Accel_Examples

Vitis_Accel_Examples

vitisxilinxalveozynqfpga-programmingsoc
Makefile 545
3 天前
https://static.github-zh.com/github_avatars/bperez77?size=40
bperez77 / xilinx_axidma

A zero-copy Linux driver and a userspace interface library for Xilinx's AXI DMA and VDMA IP blocks. These serve as bridges for communication between the processing system and FPGA programmable logic f...

xilinxfpgadriverlinux-driver
C 499
2 年前
https://static.github-zh.com/github_avatars/jofrfu?size=40
jofrfu / tinyTPU

Implementation of a Tensor Processing Unit for embedded systems and the IoT.

fpgaTensorflowtensortpuvhdlzynqxilinxAssemblyhardware-designshardware-accelerationhardware-architecturesVerilogembedded-systemsLinuxInternet of things
VHDL 476
6 年前
https://static.github-zh.com/github_avatars/f32c?size=40
f32c / f32c

A 32-bit MIPS / RISC-V core & SoC, 1.55 DMIPS/MHz, 2.96 CM/Mhz

fpgaxilinxRISC-VmipsArduino
C 413
6 天前
loading...